publicidad
  1/03/2024

Ahora sí, en marcha la nueva Intel de Gelsinger

Habíamos olvidado que Pat Gelsinger acaba de entrar en cuarto año como CEO de Intel, a la que regresó después de larga ausencia con la misión de enderezar el rumbo de sus predecesores. Su ambiciosa estrategia ha ido tomando cuerpo, pasando por encima de errores propios y ajenos. Por eso, la puesta de largo de Intel Foundry – hasta ahora conocida como IFS o Intel Foundry Service – no es un cambio cosmético: promete lanzar cinco generaciones de chips en los próximos cuatro años. El mensaje es inequívoco: Intel está de vuelta y 2024 será un año clave para saber si la estrategia IDM 2.0 (Intel Device Manufacturing), su compromiso personal de 2021, se transforma al fin en realidad.

Pat Gelsinger

Para empezar, los restos del naufragio de la pandemia de 2020 pusieron en evidencia los riesgos a los que se enfrenta la cadena de suministros de Intel, una de las que más precisión exige. En este contexto, lo primero que hizo Gelsinger tras dejar VMware y fichar por Intel, fue avanzar planes de abrir sus fábricas a la producción contratada por terceros, sabedor como era de que ese empeño contaría con el respaldo de las autoridades en Estados Unidos y en la Unión Europea, preocupados por su debilidad en esta industria.

La presencia de la secretaria de Comercio estadounidense, Gina Raimondo, en la presentación pública de Intel Foundry fue una señal de que Gelsinger sigue gozando del apoyo de la administración Biden, aunque la libranza de los fondos prometidos sea demasiado lenta. Uno de los beneficiarios de la CHIPS Act es Intel, que podría recibir de Washington unos 10.000 millones de dólares para financiar el proyecto.

En el mismo acto, Gelsinger se hizo acompañar de personalidades como el CEO de Microsoft, Satya Nasdella, el ubicuo fundador de OpenAI, Sam Altman y – muy significativo – el CEO de Arm, Rene Haas. El objetivo lo justifica: todos saben que el objetivo de Intel es convertirse en el segundo fabricante de semiconductores del mundo en 2030, precedido sólo por la taiwanesa TSMC y por delante de Samsung.

La única manera de conseguirlo es reclutar como clientes de su capacidad de producción a las mismas compañías que son sus competidoras en la comercialización de semiconductores. Pero a Gelsinger le han costado tres años en poner en pie esta estrategia dual, ciertamente delicada. Para hacer tal cosa y salvaguardar la propiedad intelectual de los clientes, los planes de Intel contemplan la creación de dos organizaciones independientes bajo su cobertura, a modo de cortafuegos que refuerce los estrictos acuerdos de confidencialidad que acompañan estas operaciones.

Intel Foundry no se limitará a gestionar una o más fábricas que produzcan  bajo contrato. Tendrá que cubrir toda la cadena de suministro, desde el diseño de la tecnología  hasta la entrega del producto final, pasando por la fase de packaging. De tal modo, Intel Foundry será una suerte de ventanilla única en la que pueda encargarse cualquier proceso parcial desde la litografía de obleas al ensamblaje, empaquetamiento y testing con los clientes potenciales. Si, por el contrario, el cliente precisase únicamente ciertas fases del proceso, contrataría el servicio y se le despacharían los chips.Tan sencillo y al mismo tiempo tan complicado, puesto que para cumplir con ese propósito, Intel ha tenido que armar un ecosistema de partners.

Por tanto, la antaño orgullosa Intel ha tenido que abrazar la estandarización con herramientas EDA (Electronic Design Automation), que le permite abrir el abanico de clientes potenciales. Este movimiento le ha lleva a convocar a proveedores como Cadence, Synopsys, Ansys y Siemens, entre otros. Esta nueva andadura va a derivar en situaciones como la que, de hecho, están viviendo Intel y Arm, que hasta hace poco no podían ni verse y ahora se cortejan en público. En concreto, Arm trabaja para que su propiedad intelectual esté presente en los modos de proceso de Intel, mientras esta se ha comprometido a fabricar el SoC Arm Neoverse de 1,8 nanómetros y 64 núcleos para Faraday Technologies, que carece de capacidad de fabricación.

El CEO de Arm, Rene Haas, flanqueado en el escenario por Stuart Pann, director ejecutivo de Intel Foundry, describió la relación con la expresión “extraños compañeros de cama”. No será la única pareja de hecho, ya que Intel aspira a trabajar a través de Intel Foundry con otras compañías que actualmente pagan como fabricantes de sus diseños a Nvidia, Qualcomm, Google y Microsoft, e incluso su eterno rival AMD. Esta relajación de su modelo histórico es tan acentuada que Intel será su propio cliente cero, en competencia con los nombrados, que habitualmente confían la fabricación de sus chips a TSMC. En teoría, podría darse la circunstancia de que reste capacidad de producción para la propia Intel, si acaso los contratos con terceros fueran demasiado grandes.

En la misma ocasión, Stuart Pann explicó cómo el trabajo conjunto con Arm es crucial para, entre otras cosas, asegurarse de que todo lo que se haga con Neoverse esté optimizado para el próximo nodo Intel 18A, en el que parece que se va a centrar la batalla contra TSMC, convenientemente rebozada con una agresiva política de precios.  Precisamente, al abrazar herramientas EDA e invertir dinero en partners como Cadence y Synopsys, Intel trata de no perder el tren que TSMC cogió cuando aceptó desarrollar un nodo N4, personalizado para las CPU de Nvidia.

En este escenario, no se puede obviar el papel que tendrá la inteligencia artificial. La capacidad de procesamiento que pide la IA irá in crescendo, duplicándose cada diez meses. Según Craig Orr, VP de marketing y plataformas de Intel, así evita que se disparen la demanda de chips y el consumo energético una vez entren en funcionamiento. De hecho. Orr dijo que el número de chips vendidos con aplicaciones de IA prácticamente se ha duplicado el año pasado: de no ser por los ajustes de la cadena de suministro el número pudo haber sido más alto. Declara la compañía que los procesos que impulsa Intel Foundry están pensados para balancear escalabilidad y eficiencia.

Rondando la euforia, Gelsinger ha identificado este empuje de la IA como “un golpe de suerte”, que claramente beneficia la  estrategia que le permitiría hacer valer sobre los competidores la escalabilidad y eficiencia de Intel Foundry, dos exigencias de la IA, entre las que ya colabora con Amazon como impulso  a su plan de negocio. La IA, por cierto, también aporta beneficios a la fabricación – explicó el CEO – ya que facilita diseñar de manera más efectiva y permite la introducción de cobots, un salto en la automatización de la cadena de producción.

Por otro lado, al tiempo que Intel levanta su estrategia renovada como fabricante para terceros, recupera el empuje de sus propios procesadores, dando ejemplo del poder innovador de Intel Foundry. También en esto la meta es ambiciosa: lanzar cinco nodos en cuatro años, una promesa inicial de Gelsinger, implica un aumento del rendimiento por vatio.

Los dos primeros nodos del plan, Intel 7 e Intel 4, ya están en producción y el nuevo Intel 3 está listo para su fabricación de volumen a lo largo de este semestre. Se trata, como era sabido, de una nueva generación de CPU para servidores Xeon, basada en Sierra Forest, que marca un baremo de densidad de núcleos.

Para cumplir con el plan quinquenal de Gelsinger, faltarían Intel 20A e Intel 18A, que podrían estar listos para entrar en producción antes de finales de 2024. De los dos, sin duda es el que más expectación ha despertado porque de él se espera que aseste un duro golpe (en 2025) a los procesos más avanzados de TSMC y Samsung. El mencionado Craig Orr – quien fue fichado desde Samsung en 2021 – ha afirmado que ya es posible diseñar productos con 18A, confiando en que para el segundo trimestre del año ya estén completos los primeros diseños.

Como prueba de su optimismo, Orr echó a rodar un mensaje revelador: Clearwater Forest – el Xeon basado en E-core de segunda generación de Intel y sucesor de Sierra Forest – ha desbordado su demanda antes incluso de iniciar la fabricación para que vea la luz el año próximo. A él se unirá Panther Lake, también basado en 18A y muy enfocado a la IA. Por si esto fuera poco, Gelsinger y Nadella han dado naturaleza oficial al anuncio de que Microsoft será cliente de Intel para fabricarle un diseño de chip basado en el proceso 18A.

Esta referencia de primer orden no será la única con la que cuente Intel Foundry, sostienen sus directivos, quien extienden el alcance de las nuevas contrataciones a otras cuatro también en la órbita de 18A, además de Intel 4 a Intel 16. Asimismo,  dado que la transparencia no es uno de los atributos del mercado de chips, Stuart Pann no confirmó ni desmintió los rumores que apuntan a que Intel Foundry ya estaría realizando trabajos de packaging para Nvidia.

Al mismo tiempo, Intel planea lanzar una versión optimizada para el rendimiento de su nodo 18A, previsiblemente llamado 18A-P, así como versiones mejoradas de sus nodos de proceso más antiguos. Con los Intel 4 e Intel 3 ya en el mercado – primeros basados en EUV (extreme ultraviolet) – la compañía transmite la idea de que está a su alcance recuperar el terreno perdido en la década anterior. Es el momento de cumplir las promesas del 2021 y los signos parecen indicar que las cumplirá.

Estas variaciones llevan nuevos sufijos, cada uno de los cuales responde a diferentes mejoras. Así, E significa una extensión de sus características, como la compatibilidad con voltajes más altos, temperaturas más altas, etc; P como mejora de rendimiento de entre el 5% y el 10% por vatio, y T indica conexiones TSV (through silicon via) en la unión híbrida de cobre a cobre.

En consecuencia, el nodo que lanzará este mismo año se llamará Intel 3-T y servirá como punto de partida y base para las futuras tecnologías de IA, según aseguró Orr. Le seguirán Intel 3-E, con nuevas características, e Intel 3-PT, que utilizará Foveros Direct y llega con mejoras de rendimiento, por lo que se individualiza con el sufijo P.

Después de la generación 18A, en 2025, las miradas se posan en 14A, que se convertiría en la primera generación en utilizar una forma avanzada de litografía llamada High NA EUV, de la compañía holandesa ASML, prevista  para finales de 2026. Este nodo contará con dos versiones: 14A y una mejorada llamada 14A-E. Siguiendo con la hoja de ruta, en 2027 se espera Intel 12, un nodo económico desarrollado en conjunto con UMC pero que únicamente se fabricará en Intel Foundry.

Gelsinger va a por todas al retomar su apuesta por la ´fundición` de semiconductores, una reconstrucción de la compañía para que tenga el peso específico que cree merecer. Y aunque mantiene la retórica sobre la socorrida ley de Moore, en la práctica la desafía incorporando avances como la litografía EUV y la fabricación de transistores cada vez más pequeños. No ha dudado el CEO en afirmar que hincar el diente al mercado emergente de la IA es para Intel la prioridad del momento: aspira a ser contratista de Nvidia, Broadcom y el resto de actores que juegan en esa liga.

A su favor juega la buena relación que mantiene con la administración Biden de la que da prueba la participación de Intel en la iniciativa RAMP-C (Rapid Assured Microelectrónics Prototypes) del departamento de Defensa, a la que suministra semiconductores avanzados por valor de 1.000 millones de dólares. Otros suministradores, como IBM, Microsoft y Nvidia, se confluyen en el uso de fondos RAMP-C.

Como guinda del pastel de soberanía tecnológica que Intel ha presentado a la Casa Blanca, destaca el papel de la sostenibilidad. Para 2030, cuando la compañía quisiera haber desplazado a Samsung de su segunda posición como fabricante, Intel aspira a usar un 100% de energías renovables, además de agua neta positiva y cero residuos en vertederos. Para 2040, la meta pasa por cero emisiones netas de gases de efecto invernadero.

En resumen, 2024 será un año crucial para la estrategia IDM 2.0 de Intel. Todo indica que cuenta con buenas perspectivas de desarrollo de nodos y con clientes que pueden sentar las bases de la recuperación financiera de la compañía. Gelsinger, en sus primeros tres años, ha sabido corregir errores pasados de Intel, como la cerrazón en sí misma, sin compartir con nadie su  tecnología de vanguardia. El CEO está haciendo una labor de fondo, presentar Intel Foundry a los clientes potenciales para que puedan comparar sus méritos con los de TSMC, aportando sus capacidades de servicios integrales.

[informe de David Bollero]


Contacto Suscríbete RSS


Sobre el autor. Copyright © 2024 El dominio norbertogallego.com es propiedad y está administrado por Diandro SL. B85905537. Creative Commons